Alexandria Digital Research Library

Abusing hardware race conditions for high throughput energy efficient computation

Author:
Madhavan, Advait
Degree Grantor:
University of California, Santa Barbara. Electrical & Computer Engineering
Degree Supervisor:
Dmitri B. Strukov
Place of Publication:
[Santa Barbara, Calif.]
Publisher:
University of California, Santa Barbara
Creation Date:
2016
Issued Date:
2016
Topics:
Computer engineering and Electrical engineering
Keywords:
Computer Architecture
Approximate Computing
Race Conditions
Temporal Computation
VLSI
Genres:
Online resources and Dissertations, Academic
Dissertation:
Ph.D.--University of California, Santa Barbara, 2016
Description:

We propose a novel computing approach, called "Race Logic", which utilizes a new data representation to accelerate a broad class of optimization problems, such as those solved by dynamic programming algorithms. The core idea of Race Logic is to deliberately engineer race conditions in a circuit to perform useful computation. In Race Logic, information, instead of being represented as logic levels (as is done in conventional logic), is represented as a timing delay. Computations can then be performed by observing the relative propagation times of signals injected into a configurable circuit (i.e. the outcome of races through the circuit).

In this dissertation I will introduce Race Based computation and talk about multiple VLSI implementations. We first begin by considering a synchronous approach, which uses simple clocked delay elements. Though this synchronous implementation outperforms highly optimized conventional implementations of the well-studied, DNA sequence alignment problem, its third order energy scaling with problem size and limited dynamic range of timing delays are its major pitfalls. Next, in the search for energy efficiency, we study asynchronous designs in order to understand the performance trade-offs and applicability of this new architecture. Finally, I will present the results of a prototype asynchronous Race Logic chip and demonstrate that Race-Based computations can align up to 10 million 50 symbol long DNA sequences per second, about 2--3 orders of magnitude faster than the state of the art general purpose computing systems.

Physical Description:
1 online resource (140 pages)
Format:
Text
Collection(s):
UCSB electronic theses and dissertations
ARK:
ark:/48907/f3nv9jdq
ISBN:
9781369575927
Catalog System Number:
990047512050203776
Rights:
Inc.icon only.dark In Copyright
Copyright Holder:
Advait Madhavan
File Description
Access: Public access
Madhavan_ucsb_0035D_13253.pdf pdf (Portable Document Format)